ASM International NV (Amsterdam)
525,20 €
22 oct., 12:11:22 GMT+2 · EUR · FRA · Clauză de declinare a responsabilității
AcțiuneTitlu de valoare înregistrat din DEAre sediul în NL
Închidere anterioară
520,00 €
Intervalul de astăzi
514,00 € - 525,20 €
Interval anual
357,70 € - 741,00 €
Capitalizare bursieră
25,72 mld. EUR
Volum mediu
59,00
Raport P/E
47,03
Randamentul dividendelor
0,52 %
Bursă principală
AMS
Calificativ climatic CDP
A-
La știri
Aspecte financiare
Declarația de venit
Venit
Venit net
(EUR)iun. 2024Modificare de la an la an
Venit
706,11 mil.5,52 %
Cheltuieli de funcționare
174,05 mil.14,06 %
Venit net
159,02 mil.5,17 %
Marja netă a profitului
22,52-0,35 %
Venituri pe acțiune
3,332,82 %
Câștigul înainte de dobânzi, taxe, depreciere și amortizare
207,40 mil.2,51 %
Cotă efectivă de impozitare
20,19 %
Active în total
Datorii în total
(EUR)iun. 2024Modificare de la an la an
Investiții în numerar și pe termen scurt
637,38 mil.29,99 %
Active în total
4,57 mld.13,39 %
Datorii în total
1,16 mld.17,81 %
Capital propriu total
3,41 mld.
Acțiuni restante
49,22 mil.
Preț pe valoare contabilă
7,50
Rentabilitatea activelor
9,73 %
Rentabilitatea capitalului
12,94 %
Variație netă în numerar
(EUR)iun. 2024Modificare de la an la an
Venit net
159,02 mil.5,17 %
Numerar din operațiuni
195,00 mil.27,97 %
Numerar din investiții
-92,04 mil.-38,48 %
Numerar din finanțare
-196,96 mil.-11,72 %
Variație netă în numerar
-74,38 mil.9,56 %
Flux liber de numerar
78,52 mil.50,86 %
Despre
ASM is a Dutch headquartered multinational corporation that specializes in the design, manufacturing, sales and service of semiconductor wafer processing equipment for the fabrication of semiconductor devices. ASM's products are used by semiconductor manufacturers in front-end wafer processing in their semiconductor fabrication plants. ASM's technologies include atomic layer deposition, epitaxy, chemical vapor deposition and diffusion. The company was founded by Arthur del Prado as 'Advanced Semiconductor Materials' in 1964. From 2008 until 2020, son of Arthur del Prado, Chuck del Prado was CEO. ASM pioneered important aspects of many established wafer-processing technologies used in industry, including lithography, deposition, ion implantation, single-wafer epitaxy, and in recent years atomic layer deposition. Semiconductor equipment companies ASML, ASM Pacific Technology and Besi are former divisions of ASM. ASM headquarters is located in Almere, the Netherlands. The company has R&D sites in Almere, Helsinki, Leuven, Phoenix, Tama, and Dongtan. Manufacturing primarily occurs in Singapore and Dongtan. Wikipedia
Director executiv
Înființare
1968
Angajați
4.617
Descoperă mai multe
Te-ar putea interesa
Această listă este generată din căutările recente, titlurile de valoare urmărite și alte activități. Află mai multe

Toate datele și informațiile sunt trimise „ca atare”, având scop exclusiv informativ, și nu se dorește ca acestea să reprezinte consultanță financiară, de investiții, fiscală, juridică, contabilă sau alt tip de consultanță sau să fie folosite cu scop tranzacțional. Google nu este un consultant de investiții sau financiar și nu adoptă nicio opinie, recomandare sau sugestie cu privire la alte companii incluse în această listă sau la alte titluri de valoare emise de acele companii. Consultă-ți brokerul sau reprezentantul financiar pentru a confirma prețul înaintea oricărei tranzacții. Află mai multe
Utilizatorii caută și
Căutare
Șterge căutarea
Închide căutarea
Aplicații Google
Meniu principal