ПочетнаAVS • FRA
ASM International NV (Amsterdam)
525,20 €
22. окт, 12:11:22 GMT+2 · EUR · FRA · Одрицање одговорности
АкцијаАкција којом се тргује на берзи у региону DEСа седиштем у региону NL
Претходно закључено
520,00 €
Дневни опсег
514,00 € - 525,20 €
Годишњи опсег
357,70 € - 741,00 €
Тржишна вредност
25,69 млрд. EUR
Просечна количина
59,00
Цена/зар.
47,03
Добит од дивиденди
0,52%
Примарна размена
AMS
CDP резултат промене климе
A-
У вестима
Финансије
Биланс успеха
Приход
Нето приход
(EUR)јун 2024.Промена Г/Г
Приход
706,11 мил.5,52%
Трошкови пословања
174,05 мил.14,06%
Нето приход
159,02 мил.5,17%
Нето профитабилност
22,52-0,35%
Зарада по акцији
3,332,82%
EBITDA
207,40 мил.2,51%
Ефективна пореска стопа
20,19%
Укупна актива
Укупне обавезе
(EUR)јун 2024.Промена Г/Г
Готовина и кратк. улагања
637,38 мил.29,99%
Укупна актива
4,57 млрд.13,39%
Укупне обавезе
1,16 млрд.17,81%
Укупна вредност акција
3,41 млрд.
Неисплаћене акције
49,22 мил.
Тржишна према номиналној
7,50
Повраћај активе
9,73%
Повраћај капитала
12,94%
Нето промена у новцу
(EUR)јун 2024.Промена Г/Г
Нето приход
159,02 мил.5,17%
Готовина из пословања
195,00 мил.27,97%
Готовина из инвестирања
-92,04 мил.-38,48%
Готовина из финансирања
-196,96 мил.-11,72%
Нето промена у новцу
-74,38 мил.9,56%
Слободан ток новца
78,52 мил.50,86%
Основни подаци
ASM is a Dutch headquartered multinational corporation that specializes in the design, manufacturing, sales and service of semiconductor wafer processing equipment for the fabrication of semiconductor devices. ASM's products are used by semiconductor manufacturers in front-end wafer processing in their semiconductor fabrication plants. ASM's technologies include atomic layer deposition, epitaxy, chemical vapor deposition and diffusion. The company was founded by Arthur del Prado as 'Advanced Semiconductor Materials' in 1964. From 2008 until 2020, son of Arthur del Prado, Chuck del Prado was CEO. ASM pioneered important aspects of many established wafer-processing technologies used in industry, including lithography, deposition, ion implantation, single-wafer epitaxy, and in recent years atomic layer deposition. Semiconductor equipment companies ASML, ASM Pacific Technology and Besi are former divisions of ASM. ASM headquarters is located in Almere, the Netherlands. The company has R&D sites in Almere, Helsinki, Leuven, Phoenix, Tama, and Dongtan. Manufacturing primarily occurs in Singapore and Dongtan. Wikipedia
Извршни директор: CEO
Датум оснивања
1968
Веб-сајт
Број запослених
4.617
Откријте још
Можда ће вас занимати
Ова листа је генерисана из недавних претрага, хартија од вредности које сте пратили и других активности. Сазнајте више

Сви подаци и информације су наведени у постојећем стању само у сврху личног информисања и без намере да буду финансијски савет нити у сврху трговине или давања савета у вези са инвестирањем, порезом, правним питањима, рачуноводством или другим темама. Google није саветник за инвестирање ни финансијски саветник и не изражава став, препоруку или мишљење у вези са било којим предузећем са ове листе или било којим хартијама од вредности које су издала та предузећа. Посаветујте се са својим брокером или финансијским представником да бисте проверили цене пре обављања било какве трговине. Сазнајте више
Људи траже и
Претрага
Обриши претрагу
Затвори претрагу
Google апликације
Главни мени